A Flexible Multi-port Caching Scheme for Reconfigurable Platforms

نویسندگان

  • Su-Shin Ang
  • George A. Constantinides
  • Peter Y. K. Cheung
  • Wayne Luk
چکیده

Memory accesses contribute sunstantially to aggregate system delays. It is critical for designers to ensure that the memory subsystem is designed efficiently, and much work has been done on the exploitation of data re-use for algorithms that exhibit static memory access patterns in FPGAs. The proposed scheme enables the exploitation of data re-use for both static and non-static parallel memory access patterns through the use of a multi-port cache, where parameters can be determined at compile time and matched to the statistical properties of the application, and where sub-cache contentions are arbitrated with a semaphore-based system. A complete hardware implementation demonstrates that, for a motion vector estimation benchmark, the proposed caching scheme results in a cycle count reduction of 51% and execution time reduction of up to 24%, using a Xilinx XC2V6000 FPGA on a Celoxica RC300 board. Hardware resource usage and clock frequency penalties are analyzed while varying the number of ports and cache size. Consequently, it is demonstrated how the optimum cache size and number of ports may be established for a given datapath.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Architecture and Physical Implementation of Reconfigurable Multi-Port Physical Unclonable Functions in 65 nm CMOS

In modern cryptographic systems, physical unclonable functions (PUFs) are efficient mechanisms for many security applications, which extract intrinsic random physical variations to generate secret keys. The classical PUFs mainly exhibit static challenge-response behaviors and generate static keys, while many practical cryptographic systems need reconfigurable PUFs which allow dynamic keys deriv...

متن کامل

Architectures for Heterogeneous Multi-Tier Networks

Next-generation wireless computing platforms will contain flexible communications capabilites. At Rice University, the Rice Everywhere NEtwork (RENÉ) project is investigating a multi-standard, multi-tier integration of W-CDMA cellular systems, high speed wireless LANs, and home wireless networks. There are many challenges in mapping these advanced communication algorithms to real-time hardware ...

متن کامل

Multi-Cycle Query Caching in Agent Programming

In many logic-based BDI agent programming languages, plan selection involves inferencing over some underlying knowledge representation. While context-sensitive plan selection facilitates the development of flexible, declarative programs, the overhead of evaluating repeated queries to the agent’s beliefs and goals can result in poor run time performance. In this paper we present an approach to m...

متن کامل

A Novel Approach for Multimedia Rendering Platforms

We introduce a novel approach to implement multimedia rendering platforms, using reconfigurable-oriented hardware/software co-design. Disadvantages of current platforms include a very short obsolescence cycle, communication and computational performance limitations, large sizes of software components, and architectures not prepared to cope with future multimedia requirements. To overcome these,...

متن کامل

Port-based Simulation of Flexible Multi-body Systems

This paper is devoted to simulation aspects of complex multi-body systems resulting from the interconnection of rigid and flexible links. This work is the natural complement of Macchelli et al. [2006, 2007a], in which only the mathematical modeling aspects of such kind of devices have been discussed. This paper tries to show how the port Hamiltonian framework can be instrumental also for the ea...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2006